硬件研发网|技术阅读
登录|注册

您现在的位置是:硬件研发网 > 技术阅读 > 串口IP核的使用文档,AXI UART Lite 中文版下载

串口IP核的使用文档,AXI UART Lite 中文版下载

LogiCORE™ IP核AXI通用异步收发器(UART)Lite核提供UART信号和高级微控制器总线体系结构(AMBA)AXI接口,还为异步串行数据发送提供控制器接口。这个LogiCORE™ IP核设计用于与AXI4 Lite协议接口。


功能


• 用于寄存器访问和数据发送的AXI4 Lite接口• 全双工• 16bits发送和接收FIFO• 可配置的数据位数(5-8)• 可配置奇偶校验位(奇/偶/无)• 可配置波特率

框图


AXI UART Lite模块如图1所示,并在下面章节进行描述。
图 1 AXI UART Lite框图
• AXI接口:实现AXI4 Lite从接口,用于寄存器访问和数据发送。• UART Lite寄存器:包括内存映射寄存器(如图 1所示)。它由一个控制寄存器、一个状态寄存器和一对发送/接收FIFO,均为16bits深度。• UART控制:
  • Rx控制 - 根据生成的波特率对接收到的数据进行采样,并将其写入接收数据FIFO。

  • Tx控制 - 从发送数据FIFO读取数据,并将其发送到UART Tx接口。

  • BRG(波特率生成器)- 编程时生成各种波特率。

  • 中断控制 - AXI UART Lite内核提供中断启用/禁用。如果中断被启用,当接收FIFO变为非空或发送FIFO变为空时,将生成上升沿敏感中断。


功能介绍


  • 对通过AXI4 Lite接口接收的字符执行并行到串行转换,并对从外设的串行接口接收的字符执行串行到并行转换。

  • 发送和接收8、7、6或5位数据,以及一个停止位和奇偶校验位。AXI UART Lite可以独立发送和接收。

  • 当接收FIFO变为非空或发送FIFO变为空时,生成上升沿敏感中断。可以使用启用/禁用信号来开启。该设备包含一个波特率发生器和独立的16bits深度的发送和接收FIFO。




软硬件技术开发


本文节选自《pg142 axi uartlite(中文版)》,全文共26页,翻译自英文版。给微信公众号“软硬件技术开发”发送“pg142中文版”可查看中英文版文档(长期有效)。